Intel đang xây dựng các Chip bán dẫn mới để cố gắng giành lại vị thế nhưng TSMC của Đài Loan đang tăng vọt phía trước

Ngày:26/03/2021  

Intel đang nổ lực để lấy lại vị thế công nghệ Chip Bán dẫn

Intel đã công bố khoản đầu tư 20 tỷ đô la Mỹ (USD) vào hai cơ sở sản xuất mới (fabs) ở Arizona. Họ sẽ bắt đầu sản xuất vào năm 2024.

Giám đốc điều hành Pat Gelsinger cho biết tiến trình sản xuất Chip 7nm của Intel hiện đang chạy đúng tiến độ. Sản phẩm đầu tiên được kích hoạt với 7nm sẽ là Ponte Vecchio, bộ tăng tốc máy tính hiệu suất cao sắp ra mắt cho siêu máy tính Aurora. Meteor Lake sẽ là một ô tính toán CPU máy khách cho sản phẩm số 2023. Tấm lát/chiplet máy tính của Intel sẽ hoàn tất quá trình nhập băng (xác minh IP thiết kế) vào quý 2 năm 2021 và sẽ tận dụng các kỹ thuật đóng gói tiên tiến của Intel. Sau khi sản xuất thiết kế, việc xuất bản (xác minh toàn bộ thiết kế chip) thường mất từ ​​4-6 tháng, và sau đó các thiết kế được gửi đến các bộ phận để sản xuất ban đầu và chạy thử nghiệm.
Tuyệt vời, Intel cuối cùng cũng sẽ tung ra các thiết bị vi xử lý chỉ nhỏ có 7nm 
(tiết kiệm điện nâng cao hiệu suất). 

TSMC (Taiwan Semiconductor) đang mở rộng công suất sản xuất quy trình 5nm lên 105.000 tấm wafer hàng tháng trong nửa đầu năm 2021, tăng từ 90.000 đơn vị trong quý 4 năm 2020, với kế hoạch mở rộng hơn nữa công suất quy trình lên 120.000 đơn vị trong nửa sau của Năm 2021.
Tấm Wafer

Công nghệ TSMC hiện đang đi trước Intel 1,5 thế hệ. Intel đang cố gắng đi sau 1,5 thế hệ nhưng nếu Intel sử dụng công nghệ 7nm vào năm 2024 thì họ sẽ đi sau 3 thế hệ. Nếu Intel sử dụng công nghệ 5nm thì họ đã đi sau hai thế hệ. Nvidia, Apple, AMD, Tesla, v.v. tất cả vẫn sẽ sử dụng TSMC. Intel đang sử dụng TSMC nhưng phải vật lộn để không bị đánh bật hoàn toàn khỏi trò chơi fab và trở nên khó tin như AMD và các hãng khác.

Intel làm được nhiều việc hơn với Chip TSMC

Lộ trình của Intel sẽ sử dụng hỗn hợp sản xuất nút quy trình bên trong và bên ngoài tùy thuộc vào khả năng của sản phẩm. Intel đã chi hơn 7 tỷ USD cho TSMC hàng năm. Intel sẽ sử dụng TSMC nhiều hơn nữa. Nếu Intel muốn Chip 5 nanomet và sau đó là 3 nanomet từ năm 2021-2023 thì họ sẽ sử dụng TSMC.

Intel sẽ sử dụng TSMC, Samsung, GlobalFoundriers và UMC.

TSMC, nhà cung cấp chip chính của Apple, đang trên đà bắt đầu mạo hiểm sản xuất quy trình chế tạo 3 nanomet vào nửa cuối năm nay (2021), khi xưởng đúc có khả năng gia công 30.000 tấm wafer được chế tạo bằng công nghệ tiên tiến hơn.

Nút N3 của TSMC đang trên đà mang lại những cải tiến về hiệu suất lịch sử và mức tiêu thụ điện năng, Chủ tịch công ty, Tiến sĩ Mark Liu, đã nói tại Hội nghị Mạch trạng thái rắn quốc tế  Solid-State Circuits Conference (ISSC) vào tháng trước. Tiến sĩ Liu cũng nêu bật những đột phá công nghệ do công ty của ông thực hiện trong lĩnh vực khoa học vật liệu. Ông tiết lộ rằng TSMC đã phát triển một vật liệu mới sẽ hoạt động với các kênh ống nano carbon để tạo ra các bóng bán dẫn mới.

TSMC đang ở một cấp độ khác của công nghệ bán dẫn khi Intel phải chứng minh rằng họ có thể tìm ra 7nm. TSMC sẽ sử dụng chip 2nm 2023-2024 và dự kiến ​​sẽ tăng gấp đôi doanh số bán hàng vào năm 2023-2024.

Samsung đang vận chuyển các quy trình khác nhau dựa trên finFET ở bước sóng 7nm và 5nm, với kế hoạch giới thiệu bảng nano ở bước sóng 3nm vào năm 2022/2023. TSMC sẽ mở rộng finFET lên 3nm, nhưng sẽ chuyển sang FET dạng nanô siêu nhỏ 2nm vào năm 2024/2025.

Nanosheet FETs kết hợp một số thành phần, bao gồm một kênh, cho phép các điện tử chạy qua bóng bán dẫn. Các FET dạng tấm nano đầu tiên sẽ bao gồm vật liệu kênh dựa trên silicon truyền thống, nhưng các phiên bản thế hệ tiếp theo sẽ có vật liệu kênh có tính di động cao.


www.Uviet.net